Altera Cyclone III EP3C5E144C8N

Všetko čo sa týka mikropočítačov + Sekcia Arduino

Moderátori: psichac, Moderátori

Victorio
Pokročilý člen
Pokročilý člen
Príspevky: 558
Dátum registrácie: 12 Mar 2014, 21:09
Bydlisko: Michalovce

Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Victorio » 08 Dec 2023, 13:06

Zdravím po dlhšom čase :D

Ak mi vie niekto poradiť, a chce poradiť, prosím o info ...

Mám tento FPGA Altera Cyclone III na riadiacej doske pre veľkoformátový plotter. Čip je zdá sa odpísaný, pri zapnutí sa prehrieva cez 130 stupňov, a navyše tak zaťaží napájací zdroj, že nedá 5V ale iba cca 2,5 resp 1.5.
Po chvíli akonáhle teplota stúpne cez 100 tak sa odpojí, lebo odber zo zdroja sa zníži a aj teplota čipu začne klesať, zrejme ešte pozostatok funkčnej tepelnej ochrany
Keďže na doske je vadný 3A stabilizátor 5V, tak zrejme kvôli tomu FPGA odišiel, na stabilizátore je totiž na výstupe napatie divné, najprv naskočí cca 4,5V, a potom vyskočí na cca 12.

Nemám s FPGA žiadne skúsenosti, podľa datasheetu som to pochopil tak, že nestačí vymeniť čip ale musí sa naprogramovať. Je to tak ? alebo programovať sa to dá z aplikácie ktorá plotter riadi napr. driver a pod ?
Resp. na doske sú dva čipy pravdepodobne pamäti, ale zrejme RAMky.
Keďže je to staré zariadenie, tak podpora na to nula bodov, dá sa s tým niečo spraviť ?

Inak sa dá zohnať iba celá doska za cca 1300€ 8O

Ak by ste chceli vedieť z čoho to je, tak je to plotter Algotex Stream Wind Jet 180

Viktor
0

maskrtnik01
Ultimate člen
Ultimate člen
Príspevky: 2574
Dátum registrácie: 20 Júl 2010, 00:00
Bydlisko: okolie KE
Vek: 27

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa maskrtnik01 » 08 Dec 2023, 13:17

No základná odpoveď je, že FPGA určite potrebuje “program”. Kde sa nachádza, to už je zložitejšie. Konfigurácia FPGA môže byť v internej pamäti (ak ju má), v externej pamäti, alebo ju môže posielať nejaký procesor.
0

Victorio
Pokročilý člen
Pokročilý člen
Príspevky: 558
Dátum registrácie: 12 Mar 2014, 21:09
Bydlisko: Michalovce

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Victorio » 08 Dec 2023, 13:47

Hmm, dá sa to "vyčítať" z foto tejto MB ?
čo som zistil v servise MUTOH , tak firmware sa dá aktualizovať cez driver, otázka je, či musí byť prvotne funkčná MB s factory fw.
Prílohy
IMG_20231205_134109.jpg
0

Victorio
Pokročilý člen
Pokročilý člen
Príspevky: 558
Dátum registrácie: 12 Mar 2014, 21:09
Bydlisko: Michalovce

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Victorio » 08 Dec 2023, 13:52

Hmm, dá sa to "vyčítať" z foto tejto MB ?
čo som zistil v servise MUTOH , tak firmware sa dá aktualizovať cez driver, otázka je, či musí byť prvotne funkčná MB s factory fw.
teda je tam mikroprocesor, a 2x SDRAM, teda nevidím tam eeprom,
otázka je, že ak odpálilo FPGA, či neodpálilo toho viac, teda čo z toho na doske mohlo prežiť 12V namiesto 5V.
ale podľa IR kamery sa hreje iba FPGA.
0

peterple
Ultimate člen
Ultimate člen
Príspevky: 2328
Dátum registrácie: 25 Jún 2013, 21:06
Bydlisko: Krajné
Vek: 57
Kontaktovať používateľa:

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa peterple » 08 Dec 2023, 17:30

Ty si dobrý srandista. To najzaujímavejšie zakrýva hliníkový plech. To si myslíš že to zrengenujem prehliadačom? Navyše dáš fotku v rozlíšení že si dokážem prečítať akurát to ALtera Cyclone.

Obecne ten "program" býva uložený v externej sériovej EEPROM, ktorá by teoreticky mohla byť vedľa toho konektora (8pin chrobák). Odtial sa to sťahuje I2C zbernicou po zapnutí do RAM toho FPGA.

Pri 12V mohlo odísť kde čo. Nehreje sa to len preto že to FPGA berie všetok I nakoľko je to naj žrút. Zhod ho dole a uvidíš ako ti jeho úlohu vyhrievacieho telesa preberie niekto iný.

Zavolaj si na to servisáka - ušetríš čas aj peniaze. To nie je výmena odstrelených tranzistorov v spínanom zdroji.
0
Ukáž múdremu chybu a on sa ti poďakuje. Ukáž chybu hlupákovi a on sa urazí.

Atlan
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1148
Dátum registrácie: 01 Feb 2008, 00:00
Bydlisko: Kosice okolie

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Atlan » 09 Dec 2023, 13:36

V com je vlastne problem, za 50E kupis cip vymenis a uvidis. Este by islo pozroet ci su piny od fpga pripojene k uP aby to vedel uP preprogramovat. Pripadne zohnat druhu dosku a skusit zkopirovat napln fpga. Aj to je otazne.

Ci to nakoniec bude stat 1300 alebo 1350E je asi jedno
0

Používateľov profilový obrázok
Radus
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1497
Dátum registrácie: 27 Okt 2009, 00:00
Bydlisko: Prešov
Vek: 42

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Radus » 09 Dec 2023, 15:24

Ja by som najprv odpájkoval to Fpga. Skúsil potom čí doska ako tak nabehne, respektíve či bude fugnogvať njaká komunikácia s PC, či sa uP bude snažiť preprogramovať to Fpga, ale či bude aspoň vykazovať nejaké známky funkčnosti... Lebo ak odišiel uP - došiel si. Fpga si vačšinou naťahuje program z externej pamati, ak nemá svoju internú, ak ho naťahoval zo svojej internej, došiel si tiež...
0

Victorio
Pokročilý člen
Pokročilý člen
Príspevky: 558
Dátum registrácie: 12 Mar 2014, 21:09
Bydlisko: Michalovce

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Victorio » 11 Dec 2023, 16:41

zatiaľ dik za info, hmm. "srandista", foto som robil aj bez toho plechoveho chladica, ktory je pre vykonove tranzistory, pod nim to čo na foto zakryte je ešte maly čip, je možné že je to eeprom, nemal som čas sa ku tomu dostať, a potom iba konektor ten červený pravdepodobne na programovanie u vyrobcu.
a inak nič viac, len elyty .
ale skusim dať dole FPGA, sam som zvedavy či sa ukáže prehrievanie na inom mieste, ale najprv vymením stabilizátor 5V LM 2676 S-5.0 lebo ten je 100% vadný, na výstupe má 4,5 až 12V podľa toho, ako je zaťažený.
Ak by bol program v externej pamäti, to by musela byť tá eeprom, to by bol ten lepší prípad,
ale nebudem zdržovať, overím, čo radíte...
s výrobcom v IT som sa spojil, vedia mi ponúknuť len novú dosku za 1500€,.
Radus, ak odišiel aj mikroprocesor, práve ten sa dá zohnať, ale ak aj ten má program výrobcom zadaný, tak s tým si neporadím. Keďže Altera Cyclone má na sebe nálepku,(FIFOMAX 66958CD) tak sa skôr obávam, že bol naprogramovaný u výrobcu, procesor takto označený nie je.
0

maskrtnik01
Ultimate člen
Ultimate člen
Príspevky: 2574
Dátum registrácie: 20 Júl 2010, 00:00
Bydlisko: okolie KE
Vek: 27

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa maskrtnik01 » 11 Dec 2023, 16:46

No samozrejme aj mikroprocesor potrebuje program.
0

peterple
Ultimate člen
Ultimate člen
Príspevky: 2328
Dátum registrácie: 25 Jún 2013, 21:06
Bydlisko: Krajné
Vek: 57
Kontaktovať používateľa:

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa peterple » 11 Dec 2023, 18:37

Takto vyzerá niečo s čím som sa stretol. Po x rokoch fungovaniach bolo treba urobiť upravu vo firmware, a tak som dostal blaster, 2GB sw na návrh (je to free môžeš stiahnuť) a aj ten skompilovaný firmware čo tam bolo treba fúknuť. Na konentor sa pripájal blaster, vedľa vidíš sériovú EEPROM. Ďalej je tam FPGA, nejaký 75Mhz OSC.

Neviem ako to tvoje, ale toto FPGA má len RAM a po zapnutí si stiahne "program" z tej EEPROM. Aj iné prístroje s FPGA tak nejak fungovali takže myslím že je to obecný a častý princíp.

V tvojom prípade tam máme ďalší počítač čo to riadi. Hodne veľa chrobákov ktoré veľmi rady odchádzajú. Podľa mojich skúseností sa kúpe novej dosky nevyhneš.

No a že si standista je jasné. Veď to je presne ako v tom vtipe o policajtoch čo niečo hľadali pod lampou. Išiel okolo náčelník a pýta sa čo hľadajú. Že klúče od auta. Tak im pomáha, polhodinu hľadajú a nič. Potom sa ich pýta. Kde ste tie kľúče stratili? No asi vzadu na dvore.
A prečo ich hladáte tu? Lebo tu je svetlo a na dvore je tma.

Presne tvoj prípad. Hladá sa pamäť ale všetko zaujímavé okolo chrobáka je schované pod plechom.

(bohužial sa táto prax hľadať niečo na mieste kde sa to nemôže vyskytovať ani náhodou stala úplne bežnou pracovnou rutinou kde koho)
image.png
0
Ukáž múdremu chybu a on sa ti poďakuje. Ukáž chybu hlupákovi a on sa urazí.

Victorio
Pokročilý člen
Pokročilý člen
Príspevky: 558
Dátum registrácie: 12 Mar 2014, 21:09
Bydlisko: Michalovce

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Victorio » 12 Dec 2023, 09:40

ok, rozumiem,
v podstate máš pravdu, chladič zakrýval práve tú EEPROM, (25P16VP - 8M-BIT AND 16M-BIT SERIAL FLASH MEMORY) ešte som neskúšal či sa z nej dá načítať obsah, lebo predpokladám, že ak je skrat na tom FPGA čipe, tak 5V vetva napájania bude v skrate a nechcem si odpáliť programátor..
oscilátor je 50Mhz ako vidíš na novej foto.
skúsim dať "dole" FPGA, a otestujem to či bude skrat ešte niekde inde,
ohľadom FPGA , v datasheete som akurát našiel zmienku o ochrane práv duševného vlastníctva atď, preto si myslím, že ak má niečo napevno "v sebe" tak to bude výrobcom uzamknuté ale ak je odpálený čo na 99,999 je, tak mi to je k ničomu, ale viac to asi už nepokazím ako je teraz :)
tak dik za info, dám vedieť, čo som zistil...
Prílohy
IMG_20231211_192959.jpg
0

Používateľov profilový obrázok
Radus
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1497
Dátum registrácie: 27 Okt 2009, 00:00
Bydlisko: Prešov
Vek: 42

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Radus » 12 Dec 2023, 12:16

Takže program sa ťahá z externej EEPROM, nádej ti ešte neumrela...
image.png
0

Victorio
Pokročilý člen
Pokročilý člen
Príspevky: 558
Dátum registrácie: 12 Mar 2014, 21:09
Bydlisko: Michalovce

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Victorio » 12 Dec 2023, 12:36

no super, dik za info, idem zhodiť čip, a ak tam nebude skrat, skúsim prečítať eeprom,
a to už predbieham, ak by to bol ok, potom objednám FPGA, vo Farnelli ho majú za celkom prijateľnú cenu 41€
0

maskrtnik01
Ultimate člen
Ultimate člen
Príspevky: 2574
Dátum registrácie: 20 Júl 2010, 00:00
Bydlisko: okolie KE
Vek: 27

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa maskrtnik01 » 12 Dec 2023, 13:57

Ešte je otázka, či to dal MCU. Ak to má MCU za sebou, aj tak je to v háji.

Funkčná konfigurácia FPGA v pamäti je jedna vec. Ale aj MCU potrebuje svoj program, a ten má väčšinou v internej FLASH pamäti.
0

Victorio
Pokročilý člen
Pokročilý člen
Príspevky: 558
Dátum registrácie: 12 Mar 2014, 21:09
Bydlisko: Michalovce

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Victorio » 12 Dec 2023, 15:30

no to zatiaľ neviem, procesor mi nikto nenaprogramuje, výrobca kód neposkytne, a servis už nerieši, a ak by aj, už by sa narátali náklady tomu, kto by to naprogramoval
je šanca, že FPGA to "schytal" prvý, a keď išiel do skratu, tak už napätie nepustil nad cca 2,5V.
MCU má napájanie tiež 5V, takže ... uvidíme
maximálne, ak nezistím nič iné čo by išlo do skratu, investujem 40€ do FPGA, a ak to nepôjde, tak neriešim,
0

Victorio
Pokročilý člen
Pokročilý člen
Príspevky: 558
Dátum registrácie: 12 Mar 2014, 21:09
Bydlisko: Michalovce

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Victorio » 13 Dec 2023, 10:11

nové info : po vybratí FPGA a zapnutí napájania sa už nič neprehrieva, externý display sa rozsvieti - iba podsvit ihneď, oproti stavu pred tým, kedy mu to trvalo pár sekúnd. napájanie je už OK 5V aj 3,3V.
ale v podstate nič viac zatiaľ neviem, FPGA je objednaný, uvidíme ...
eeprom sa mi nechce vyberať, a konektor čítačky sa mi tam kvôli konektoru na DPS nemestí :(
0

Používateľov profilový obrázok
Radus
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1497
Dátum registrácie: 27 Okt 2009, 00:00
Bydlisko: Prešov
Vek: 42

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Radus » 13 Dec 2023, 12:26

Zatial dobre :) Skús skontrolovať komunikáciu, keď pripojíš USB, či to rozponá ako zariadenie, atď...
0

maskrtnik01
Ultimate člen
Ultimate člen
Príspevky: 2574
Dátum registrácie: 20 Júl 2010, 00:00
Bydlisko: okolie KE
Vek: 27

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa maskrtnik01 » 13 Dec 2023, 12:36

Ja som už teraz príjemne prekvapený. Tiež som si myslel, že mŕtve FPGA ťahá všetok prúd a preto sa zvyšné obete neprejavia.

Otázka na odborníkov teda, je možné že sa FPGA zachovalo ako transilka, a všetko ostatné zachránilo?
0

Victorio
Pokročilý člen
Pokročilý člen
Príspevky: 558
Dátum registrácie: 12 Mar 2014, 21:09
Bydlisko: Michalovce

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa Victorio » 13 Dec 2023, 12:49

Radus, vieš mi povedať aký význam má FPGA ? tj. ak tam je aj ďalší mikroprocesor, tak potom FPGA je iba pre riadenie portov a pod. tj. aby bolo menej elektroniky na ovládanie motorov atď?
Nie som v tom doma, priznávam :oops: ,
Na USB pripájať to asi nemá význam, skúsil som pripojiť externý displej, tj. ten čo je na stroji, pripája sa cez RJ45 konektor, ale displej iba svieti podsvit, žiadne iné známky života.
Tak otázka je, že ak tam je EEPROM, tak či na tej je vlastný firmware stroja, alebo iba nastavenia ktoré sa týkajú hardware , ovládania motorov, tlačovej hlavy atď. .
Teda ak je hlavný program v mikroprocesore, tak bez FPGA by to podľa mňa malo dať o sebe nejak vedieť, ale ani bzučiak po zapnutí nepípne.
Je tu teda riziko, že aj CPU to schytal , ale na rozdiel od FPGA ktorý išiel do skratu, CPU je v napájacej vetve prerušený,
Ale asi teraz zbytočne riešiť, o pár dní tu budem mať FPGA, namontujem, a počkám čo to spraví :rolleyes:
0

maskrtnik01
Ultimate člen
Ultimate člen
Príspevky: 2574
Dátum registrácie: 20 Júl 2010, 00:00
Bydlisko: okolie KE
Vek: 27

Re: Altera Cyclone III EP3C5E144C8N

Príspevok od používateľa maskrtnik01 » 13 Dec 2023, 13:03

FPGA je vlastne programovateľné hradlové pole (programovateľná logika). Dá sa približne predstaviť ako obrovské nepájivé pole, na ktorom sú primitívne logické obvody (kombinačná logika, klopné obvody,..), a "program" FPGA hovorí o tom, ako majú byť tieto obvody poprepájané. Tým pádom viacmenej dokáže vytvoriť vo svojom vnútri akýkoľvek digitálny obvod. Navyše ak sa nájde chyba, nie je dôvod prerábať dosku plošných spojov, nahraje sa iný "program".

Ja poznám dva dôvody, prečo FPGA použiť. MCU nemusí mať dostatočný počet potrebných periférií (čítače/časovače, komunikačné,...). Alebo zariadenie potrebuje pre svoju funkčnosť niečo, čo sa zle robí softwarovo, a oveľa ľahšie hardwarovo digitálnym obvodom. Možno nejaká vysoko špecifická periféria, možno nejaký výpočet.

Ešte zaujímavosť. Vnútri FPGA sa dá vytvoriť aj celá digitálna časť MCU. Naopak to nejde, ak MCU nemá programovateľnú logiku, tak ju nemá, dá sa maximálne tak simulovať programom.
0

Napísať odpoveď