Súťaž o Digilent BASYS1 - Spartan3E100

Všetko možné na prevetranie mozgových závitov.

Moderátori: Merak, Moderátori

This is.... Spartaaan

Zucastnim sa
8
24%
Nezucastnim sa
12
35%
Uz mam FPGA
4
12%
Pracujem s FPGA profesionalne
2
6%
Nemam o FPGA zaujem
4
12%
Blbost
4
12%
 
Celkom hlasov: 34

Používateľov profilový obrázok
Zeren
Nový člen
Nový člen
Príspevky: 81
Dátum registrácie: 09 Nov 2013, 17:28

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Zeren » 28 Nov 2014, 19:30

Teď nemám příliš času na čemkoliv dělat. Semestrálky ve škole...
0
It's better to be hated for who you are, than to be loved for who you are not.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 28 Nov 2014, 20:00

ja už finišujem so svojimi vecami, samozrejme trvalo to 2x toľko ako som predpokladal, takže každú chvíľku sa bude pokračovať :D
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 28 Nov 2014, 21:23

aaale predsa ste tu na to este nezabudli ;-) Zeren budem drzat palec do skoly. mato3k, no sak ked je doma cnc tak bodaj by bol na ine cas :mrgreen: ja som mal toho posledne tyzdne viac nez dost, tak ma tu tiez skoro nebolo, ale hadam uz od buduceho tyzdna bude zase normalne, tak hadam tu uz pomaly zacnem nieco spekulovat. Zeren btw mas tie semestralky teraz pred sviatkami, alebo este budes mat aj po sviatkoch veselo?
BOBOR, centesco nic?
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 28 Nov 2014, 21:28

paradoxne kvôli bc. práci ktorá sa týka FPGA (okrem iného) nemám na FPGA čas :mrgreen: + zápočty, skúšky atď. ale o 2 týždne by to už mohlo byť lepšie a snáď by som aj niečo stihol vymyslieť
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 28 Nov 2014, 22:04

noo to sa nam mozno aj podari nejak zosynchronizovat o hadam nie dlhy cas :-)
a podobne drzim palec do skoly a k fpga :thumbup:
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

Používateľov profilový obrázok
Zeren
Nový člen
Nový člen
Príspevky: 81
Dátum registrácie: 09 Nov 2013, 17:28

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Zeren » 28 Nov 2014, 22:38

Do 7 prosince musí být semestrálky hotové. Pak mám ještě před vánocemi zápočty a chci udělat taky některé zkoušky před vánocemi ať to netahám do ledna...

BOBOR: Co máš za téma bc. práce?
0
It's better to be hated for who you are, than to be loved for who you are not.

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 28 Nov 2014, 23:16

Zeren - navrhnúť sigma-delta ADC: modulátor + digitálny filter v FPGA ktorý bude mať nastaviteľné parametre, bohužiaľ to musím nakresliť v LabVIEW takže si ani VHDL neprecvičím
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 29 Nov 2014, 00:00

@BOBOR, ak budes robit state variable filter (audio app) tak by si sa mohol o nejaku teotiu aj s vysvetlenim podelit, nech to aspon trochu ozivi rok a pol nevidene dsp ;-)
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

dudoo
Pokročilý člen
Pokročilý člen
Príspevky: 522
Dátum registrácie: 31 Júl 2014, 05:27
Bydlisko: Kusok od Cahnova, Brno
Vek: 42

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa dudoo » 17 Dec 2014, 18:13

Ahojte,
asi idem s krížkom po funuse a možno trochu aj OT, ale veľmi ma zaujala táto téma a chcel by som sa niečo o tomto naučiť, len mám taký menší problem s názvom "OS X Yosemite". Vo Virtualbox-e mám ešte 64-bit Ubuntu 12.04. Nemá náhodou niekto skúsenosti, ako to je s kompatibilitou Xillinx-u vo virtuale pod Linuxom? Prípadne v OS X pod Vínom?
Ide mi hlavne o to, že Xillinx je pre mňa ešte absolútne neznáme prostredie a rád by som si pre začiatok v rámci zoznámenia sa s prostredím vyskúšal niektoré veci step-by-step podľa video tutoriálov tu uvedených. Resp. nevadí mi, že prostredie bude mať kozmetické odlišnosti oproti windowsáckemu, ale neskôr, keď sa oťukám by som si chcel zaobstarať aj board a fyzicky si naprogramovať FPGA (tu už očakávm problémy spojené s komunikáciou medzi boardom a virtuálom/vínom, ale ale možno to pôjde bez problémov).
Momentálne mi prebieha download windowsáckej verzie a pokúsim to spistiť pod vínom, pretože v tomto prípade odpadá nutnosť štartovania virtuálu kvôli tomuto programu, len nechcem sa stým niekoľko dní babrať s tým, že to aj tak nepôjde.
Možno to tu už niekto skúšal a ak mi poradí tak mu za to vopred ďakujem.
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 17 Dec 2014, 18:40

Zdar dudoo, vobec nejdes po funuse :) mame trochu problem so synchronizaciou a nejakymi tymi skuskami a pracami na vyskach, asi to tak este chvilu potrva, takze si tu viac nez welcome.
ISE je plnohodnotne aj pod linuxy a funguje bez problemu (mam vyskusane opensuse) , neviem ako ale na MACu. Z toho co som pozreln na xilinx forum tak s tym nieje problem ani na VM. Horsie to moze byt ak si zaobstaras nejaku fpga platformu a co na to povedia ovladace vo VM. V najhorsom pripade bootcamp a dualboot.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

centesco
Nový člen
Nový člen
Príspevky: 73
Dátum registrácie: 14 Feb 2010, 00:00
Bydlisko: NR
Vek: 39
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa centesco » 18 Jan 2015, 20:21

No vidim, ze sa to tu riadne pretiahlo :) Ja som bol uplne mimo toto forum, velmi vela prace... Snad sa to teraz ukludni a budem sa tomu zase moct venovat
0

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 13 Feb 2015, 17:44

Po dlhom čase hlásim pokrok, síce nič extra ale lepšie ako vôbec nič
Ide o jednoduchý ovládač serva so štyrmi polohami - doľava, stred, doprava, viac doprava, je to vlastne PWM modulátor s periódou 20ms a dĺžkou impulzu 1ms; 1,5ms; 2ms; 2,5ms ktorá sa mení podľa polohy a stlačenia joysticku na doske
Je to implementované v FPGA Cyclone 4 a zaberá to 47 LEsov (Logic Elements)

Kód: Vybrať všetko

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;

ENTITY servo IS
PORT(	TL		: IN	STD_LOGIC_VECTOR (1 DOWNTO 0);	--tlacidla
		CLK	: IN	STD_ULOGIC;
		PWM	: OUT	STD_ULOGIC
	 );
END servo;

ARCHITECTURE behavioral OF servo IS

SIGNAL PR	:	STD_LOGIC_VECTOR(19 DOWNTO 0);
SIGNAL PW	:	STD_ULOGIC;
CONSTANT PER:	INTEGER :=	1000000; --perioda (20ms)
CONSTANT R2	:	INTEGER :=	125000;	--viac doprava (2,5ms)
CONSTANT	R	:	INTEGER :=	100000;	--doprava (2ms)
CONSTANT C	:	INTEGER :=	75000;	--1,5ms impulz, stredna poloha
CONSTANT L	:	INTEGER :=	50000;	--dolava (1ms)
SIGNAL WIDTH	:	INTEGER RANGE 0 TO 150000;

BEGIN 
	PROCESS(CLK)
	BEGIN
	IF rising_edge(CLK) THEN
		IF(PR<PER) THEN
			IF(PR<WIDTH) THEN
				PW<='1';
			ELSE
				PW<='0';
			END IF;
			PR <= PR+1;
		ELSE
			PR <= (others => '0');
		END IF;
	END IF;
	END PROCESS;
	
PWM <= PW;	

WITH TL SELECT
WIDTH <=	C 	WHEN "11",
			R 	WHEN "01",
			L 	WHEN "10",
			R2	WHEN "00", 
			C 	WHEN OTHERS;
					

END behavioral;
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 13 Feb 2015, 18:41

centesko, hah, pohoda jahoda, teda dufam, uz tu je dost dlho az moc ticho tak uvidime ako sa nam to tu vyvinie nakonec.

BOBOR nooo hotovy fungujuci projekt :potlesk: btw ked pouzivas integery tak interne to tool vsetko bude brat ako 32bit registre a pak ti to zoptimalizuje. mas lepsie to preratat na hex cislo ktore pouzijes rovno v kode. mal by si mat okolo toho nejake warningy, skus aspon co to z nich eliminovat, dost ta to donuti rozmyslat co sa deje na hardwari. btw k comu bude servo? :)
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 14 Feb 2015, 13:20

Myslíš namiesto konštánt písať tie čísla rovno do kódu ? to som skúšal, vygeneruje to rovnakú logiku
warningy ohľadom integerov nemám, mal som len Timing requirements not met ktoré sa mi podarilo odstrániť
Prílohy
rtl.jpg
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 14 Feb 2015, 14:34

namiesto integeru pouzit vector. inak jop, logiku ti to vygeneruje rovnaku. ked das syntezu a map, tak ti to potom nastroj optimalizuje bo si zisti ze niektore registre su neni nikdy pouzite a tak sa ich zbavi aby setril logikou. inak aj keby mas velky projekt a simulujes tak to simuluje integery a teda celych 32bit na cislo ktore pouzivas. ale inak jop, logika vypada celkom fajn a to je dobre. neboj, to sa vycibri ak ta to neprestane bavit :)

aa este ma napadlo, ked pouzivas integery tak nemozes potom pristupovat k jednotlivym bitom, a ich logickym levelom, 1/0/x/z etc..


btw ak ma chyti rapel, tak sice nie tutorial, ale jeden projekt by som tu rad postol a aj popisal okolo toho (nie kodenie etc) tak drzat palce aby prisiel ten taky moment ze idem do toho :)

keep it up :thumbup:
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 20 Júl 2015, 23:17

ok borci, tak to tu zrusime kedze tu velky zaujem o to aj tak nebol, mal to byt audio generator s 8bit ay-3-8-912.

placku rad niekomu darujem kto prejavi o nu zaujem a plan s nou, popripade si to chce skusit a nevie ci za to davat prachy. fpga je strasne mrnave, ale umozni zakladne projekty typu ciselny hw zamok, vela vela vela led matrix driver, hw pong mozno, alebo nieco advanced ako vela vela pwm driver na nejakeho hexapod robota, nejake male audio dsp, vlastne male cpu a podobne. placka nieje urcena na vyrobenie projektu a strcena do krabice ale skor zakladne ucenie s fpga.

raz som tu uz daroval programator a dopadlo to trochu inak ako som cakal, takze budem kus prezieravy. najvohnejsi kandidat by bol niekto, kto to radsej ako necha doma zapadnut prachom (lebo sak co je doma sa pocita) by placku v pripade nezaujmu rovnakym sposobom posunul dalej niekomu tu z SE rovnakym stylom ako ja teraz. hlavny predpoklad je zaujem o digital hw a nie kodenie mcu, nema to s tym nic spolocne.

ps: o dva tyzdne budem na sk, tak ak sa najde niekto z juhozapadostredu svk tak aj s donaskou.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 21 Júl 2015, 10:34

no tak to tu dame este trochu do pozornosti :-)
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 21 Júl 2015, 14:02

kde na juhozápade ? môžeme skočiť možno na nejakú kofču, alebo fofolu :D

inak som prekvapený, že sa nik nehlási, to je dobrá doska, ale ja ju nepotrebujem, lepšie nejakému študentovi, penez neni nikdy dosť :wink:
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 21 Júl 2015, 14:54

LV, ale budem dost aj okolo NR. no asi som tym komentarom k tomu odstrasil pripadnych zaujemcov :)
ja som uz tak trochu za limitami tej dosky a tiez ju nepotrebujem a korunky za nu ma z biedy nevytiahnut, tak radsej takymto stylom a v dobrej nadeji dufat, ze sa rovnakym stylom dostane aj dalej ak ju niekto nebude potrebovat, popripade uz bude fpga na experimentovanie primale.

btw mato, ozval sa ten predajca ebaya?
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 21 Júl 2015, 20:36

ozvali sa mi iní, lebo riešim tam viac vecí, ale tento ešte nie
0

Zamknuté