Súťaž o Digilent BASYS1 - Spartan3E100

Všetko možné na prevetranie mozgových závitov.

Moderátori: Merak, Moderátori

This is.... Spartaaan

Zucastnim sa
8
24%
Nezucastnim sa
12
35%
Uz mam FPGA
4
12%
Pracujem s FPGA profesionalne
2
6%
Nemam o FPGA zaujem
4
12%
Blbost
4
12%
 
Celkom hlasov: 34

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 14 Sep 2014, 19:19

super, len by ma zaujímalo ako je v FPGA reprezentovaná tá ROM pamäť, používajú sa LUTy alebo Block RAM a obsah sa nahráva pri každej konfigurácii ?
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 14 Sep 2014, 19:45

zdar BOBOR, blockram je dedikovana pamat na fpga presne na ucel pamate, keby v IP CORE vyberies distributed memory generator, tak vtedy by coregen vygeneroval ram/rom z normalnych slicov logiky.
aaa sry, jj obsah tej romky inicializuje cast .bit suboru ked sa nahrava do fpga.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 17 Sep 2014, 19:21

BOBOR, Zeren, mato3000, centesko, podarilo sa aspon nieco odsimulovat, alebo sa caka rovno na ten sutazny projekt? btw by ste mohli skusit chytit manual od tej placky a skusit poriesit ten 7segment na zaciatok, ci sa podari rovno podla datasheetu. kludne pak upnut .bit a testnem, odfotim a postnem. popripade nie ak sa nebude chcet aby to tu bolo.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 17 Sep 2014, 19:33

7 seg. dekóder mám odskúšaný pre alterácke CPLD v Quartus 2, v piatok to skúsim hodiť do ISE a odsimulovať a dám to sem
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 17 Sep 2014, 19:46

:thumbup: nieco ako rom/ram ? dobry by bol nejaky miniprojekt, ze sa tymi prepinacmi nieco nastavi, tlacitkom ulozi v pamati a pak dalsim tlacitkom precita, etc. mne je jedno kto co skusi urobit :-)

btw ono nevypada, ze by sa do toho chcelo viacerim, tak ak tu kazdy z vas zmakne aspon nejaky taky miniprojekt rovno na tu basys placku a bude casovo vyhovovat vsetkym tak sa mozme pustit aj do toho projektu za nejaky tyzden-dva-tri, nech to kazdemu casovo vyhovuje.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 17 Sep 2014, 20:41

Tribec Zacks napísal:BOBOR, Zeren, mato3000, centesko, podarilo sa aspon nieco odsimulovat, alebo sa caka rovno na ten sutazny projekt? btw by ste mohli skusit chytit manual od tej placky a skusit poriesit ten 7segment na zaciatok ....
nie nečaká, dnes pokračujem, no problém je ako som vravel v čase, takže ja osobne budem asi vždy pozadu ... dnes ešte chcem dačo odskúšať na tom prvom projekte a pôjdem na druhé videjko, kde sa musí trochu viac uvažovať ....
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 17 Sep 2014, 22:41

pohoda mato, ma to cas pokial nebudu podobne veci odskusane a funkcne.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 17 Sep 2014, 23:17

no tak som to dorobil, ako som ti písal, trošku som to musel upraviť na svoj HW , ja tam mám 50MHz a opačne zapojené LED :D , nechcelo sa mi pridávať ďalšie LED a tak som zatial využil len 4 priamo z modulu. Síce som to mal hotové už včera, ale dnes som sa trochu ešte s tým pohral a snažil sa to celé zopakovať bez návodu a trochu pomeniť. Zatial je to jasné.



Zajtra si pridám nejaké malé kontaktné pole, aby som , ak bude potrebné, ich pripojiť pri daľších projektoch
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 18 Sep 2014, 00:00

:potlesk:
no uz tam vidim aj 7segment nachystany :D muhahaha, treba kuknut ako to je na tom basyse zapojene, drzim palce

btw skus dat nejake info kolko ten counter spapal logiky na tvojej placke, mne to vyslo na toho spartosa nejak takto na jeden 4bit counter:

Kód: Vybrať všetko

Number of Slice Flip Flops 	  27 	1,920 	1%
Number of 4 input LUTs 			12 	1,920 	1%
Number of occupied Slices 		18 	960   	1%
Total Number of 4 input LUTs 	33 	1,920 	1%
btw ked sa ti nechce prehladavat reporty, tak ked nechas prebehnut len syntezu, tak v okne konzoly sa da najst f.max celkom lahko :-) mne ten counter vysiel na 160mhz max, sa mi to vidi trochu malo, ale komu sa s tym chce babrat :-)
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 18 Sep 2014, 00:05

tu je celkové info

Timing Summary:
---------------
Speed Grade: -4

Minimum period: 6.243ns (Maximum Frequency: 160.179MHz)
Minimum input arrival time before clock: 4.624ns
Maximum output required time after clock: 4.310ns
Maximum combinational path delay: No path found
Prílohy
Sejmutý obrázek 1.png
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 18 Sep 2014, 00:16

no pekne podobne vsetko, s dvomi countrami tam mam o jeden slice menej jak u teba. ak som dobre pozrel naposledy tak na tej doske mas cpld, ak hej tak pozeram, ze to ma asi dost inu architekturu jak tento spartos podla toho reportu
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 18 Sep 2014, 00:23

0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 18 Sep 2014, 18:16

no tak to neviem co som vtedy pozeral, tak vacsi fpga brasko toho co je na tej basys placke :-)
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

centesco
Nový člen
Nový člen
Príspevky: 73
Dátum registrácie: 14 Feb 2010, 00:00
Bydlisko: NR
Vek: 39
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa centesco » 18 Sep 2014, 18:45

Ja tiez necakam len na zadanie, zatial som len popozeral tvoje videa + nejake tutorialy na nete... Doma mi odisiel PC a v praci som nemal cas nazvys. Zajtra ho ale dam dokopy a cez vikend nieco poskusam, zatial je to celkom jasne
0

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 18 Sep 2014, 20:51

tak ukážem aspoň simuláciu multiplexného riadenia 4-miestneho 7-segmentového displeja v Quartuse, princíp je na "schéme", z CPLD alebo FPGA je vyvedená 8-bitová zbernica pre jednotlivé segmenty displeja a 4-bitová zebrnica pre katódy (anódy), rýchlosť multiplexovania je daná signálom MCL_OUT ktorý vznikne vydelením CLK signálu - reálne je ten deliaci pomer oveľa vyšší ako v simulácii
zožerie to 34 LEs-ov
zajtra to hodím do ISE
Prílohy
LED_MPX_SIM.png
LED_MPX_SCH.png
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 18 Sep 2014, 21:25

no podla timing diagramu to funguje, len tak dalej, bude treba simulovat podstatne viac ;-) btw bobor, ide ti dobre simulovat v tej quartusackej atrape? ja vsetko hadzem do modelsimu, ale je to jak skrabat sa pravou nohou pol lavou lopatkou oproti tomu ako to je pekne prepojene v ISE. btw projekt bude bezat (dufam) na tom spartane tak treba potom aj ISE prevetrat.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 18 Sep 2014, 21:35

simulujem to zatiaľ v quartus 9.1 ktorý ma vlastný simulátor, v 13.1 už treba použiť modelsim a tomu sa zatiaľ vyhýbam.. ale hej, máš pravdu že Quartus má tie simulácie strašne (ale fakt strašne) vymyslené
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 18 Sep 2014, 21:52

no modelsim uz je plnohodnotny simulator, ale niektore veci su v nom tak riesene, ze pri nich zostane rozum stat. ale vie reprezentovat digitlny signal analogovou krivkou, takze som sa k nemu nejak musel dokopat :-)
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 711
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 26 Sep 2014, 19:32

zdravim borci, robilo sa nieco s tymi fpgackami, ziadny pidi projekt nebude?
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 26 Sep 2014, 19:36

trochu som upravil ten 7seg. driver, teraz sa dajú prepínačmi nastaviť čísla (0 až 3) na jednotlivých pozíciách displeja
mohol by si to otestovať ak budeš mať čas ?
0

Zamknuté