Súťaž o Digilent BASYS1 - Spartan3E100

Všetko možné na prevetranie mozgových závitov.

Moderátori: Merak, Moderátori

This is.... Spartaaan

Zucastnim sa
8
24%
Nezucastnim sa
12
35%
Uz mam FPGA
4
12%
Pracujem s FPGA profesionalne
2
6%
Nemam o FPGA zaujem
4
12%
Blbost
4
12%
 
Celkom hlasov: 34

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 10 Okt 2014, 22:38

každý deň dve hodky
uuuu :applause: vazne drzim palce mato3k, skusil si uz nejaky fsm? ked aj ledka, ale napr v jednom stave caka napr na dve tlacidla, ak jedno tak jeden stav, ak druhe taky, nieco na ten styl
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

Používateľov profilový obrázok
Zeren
Nový člen
Nový člen
Príspevky: 81
Dátum registrácie: 09 Nov 2013, 17:28

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Zeren » 10 Okt 2014, 22:45

Tribec Zacks napísal: btw oplati sa kuknut tu
http://www.asic-world.com
http://vhdlguru.blogspot.com
Celkem dost mi pomohla kniha Digital electronics and design with vhdl od Volnei A. Pedroni. Řekl bych, že je to tam celkem dobře vysvětlené. I když jsem třeba z toho pochytil if clk'event...

Jinak ADC, DAC a digitálně řízená amp a k tomu nějaký DSP se mi trochu zasekl. Dneska ve škole jsem si zprovoznil SPI komunikaci se zesilovačem (podle simulace by to mělo chodit :D ), ale kvůli tomu, že mě trochu brzdila synchronizace dropboxu při tom, tak jsem jí vypnul. Bohužel jsem jí zase zapomněl zapnout, takže všechna dnešní práce zůstala ve škole. Budu muset s tím pár dní počkat.

Tak místo toho bych se mohl o víkendu pokusit o nějaké blbnutí s UARTem.

Škoda, že přes týden na to nemám moc času.
0
It's better to be hated for who you are, than to be loved for who you are not.

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 10 Okt 2014, 22:55

jj pohodicka, len trochu vyzvedam ci sa na to nezabudlo :D som vedel ze to tu bude tak, ze nikto nic nepostne koli druhym :| no tak budem takto vyzvedat a ked tu ten 7segment nebude, tak buduci vikend tu postnem jednoduchy driver, nech tam je aj priestor na nejake zlepsenie etc.

btw zeren pozri tu ako sa ma komunikovat so zosikom :D
https://www.youtube.com/watch?v=prpLSlHjU1Y
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

Používateľov profilový obrázok
Zeren
Nový člen
Nový člen
Príspevky: 81
Dátum registrácie: 09 Nov 2013, 17:28

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Zeren » 10 Okt 2014, 23:13

To je trochu jiný level oproti té LTC6912 kterou tam mám. :-D
0
It's better to be hated for who you are, than to be loved for who you are not.

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 10 Okt 2014, 23:36

no :-) spdif spi serial, vsetko jeden cert :))) uart je trochu zlozitejsi, ale ide aj ten v pohode, staci vedet kedy pocitat a kedy samplovat :-)
btw celkom fajn kniha, dikz moc.

btw neviem ci poznate coursera.org momentalne bezi cpu architecture design (robim to :D), stale sa da joinut class a tusim od februara bude nejaky hw/hdl design, treba ceknut, je tam toho haba kuk, prednasky z najlepsich univerzit po svete.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

centesco
Nový člen
Nový člen
Príspevky: 73
Dátum registrácie: 14 Feb 2010, 00:00
Bydlisko: NR
Vek: 39
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa centesco » 14 Okt 2014, 12:07

Rad by som si zaobstaral nejaku jednoduchu dosku s fpga, koli rychlejsiemu uceniu a testovaniu... Nieco jednoduche a relativne lacne, mate nejake navrhy ? Ja som nasiel Mojo board, stoji to 70e, na doske toho vela nie je ale to by mi nevadilo...

(s fpga by som chcel pracovat aj mimo tuto sutaz...)
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 14 Okt 2014, 18:20

zdar centesco, do akych typov projektov by si sa najradsej pustil? spartos LX9 je stale pomerne male fpga na nieco zaujimavejsie
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

centesco
Nový člen
Nový člen
Príspevky: 73
Dátum registrácie: 14 Feb 2010, 00:00
Bydlisko: NR
Vek: 39
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa centesco » 14 Okt 2014, 19:24

Tak ciel je real time dig. spracovanie obrazu, najprv sa ale musim preluskat cez jednoduchsie veci a chcem testovat aj mimo simulator (aspon pre mna je to rychlejsie...). Na zaciatok si myslim, ze nepotrebujem extra velke fpga, ci ? Zatial sa chcem pohrat - nejaka i2c komunikacia, riadiace registre + pwm a taketo srandicky, co som riesil predtym na avr...
0

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 14 Okt 2014, 20:08

na začiatok :D

na AVR si to riešil ako HW podporu a ešte k tomu v C predpokladám, no tu ťa čakajú krušné chvíle ....

s tým simulátorom je to v prípade FPGA/CPLD presne opačne. V klude možeš mesiac pracovať na projekte a nepotrebuješ na to samotné FPGA. Na to čo si zvykol pri MCU kľudne zabudni.

Takisto počítaj s trošku večšou cenou dosky, FPGA nestojí 1-2 E ako MCU
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 14 Okt 2014, 20:17

fiuuu :-) no spartos 6 lx9 je stale celkom prcek, to je tak na zaciatok, ta Mojo placka je taky starter na ktorom toho vela nieje, je to skor na projekty podobne tym co sa robia s mcu, popripade na tom bezis soft-mcu a mozno aj nejake nenarocne rychle fft z logiky a podobne, ak s tym chces ist aj dalej tak sa neboj capnut po vacku a trochu investovat do fpga dosky, vela krat sa ti to vrati. nebudes muset uplne vsetko pipelajnovat a hladat zlozite riesenia co setria logiku a podobne. za podobnu cenu je tych dosiek viac mojo, papilio vacsinou su to vsetko spartan3/ spartan 6lx9 placky.
za +/- rovnaku cenu je de0-nano od terasicu, sme ho tu uz aj spominali, je to kus viac muziky za rovnaku cenu, fpga su si vlemi podobne co sa tyka resources, nano ma viac logiky zbytok +/- rovnako, na tom nane je 32sdram, nejaka flashka accelerometer, adc, na zaciatok urcite privetivejsie. dvi das na monitor aj so spartosom6 aj s cycloneIV oba maju hw serializer na IO, altera ma kus horsie nastroje tak je to pomerne naprd, hw za lepsi pomer cena/muzika, zato sa s nim o nieco horsie pracuje. ale ide si zvyknut, to len ja frflem na to viac ako je zdrave :)

kazdopadne ak s tym chces ist dalej a robit podobne veci ako image processing tak radse skus nasetrit trochu a pozret sa skor po platformach odobnym tymto:
http://www.terasic.com.tw/cgi-bin/page/ ... 0&PartNo=3
http://www.digilentinc.com/Products/Det ... Prod=ATLYS
ak by si chcel bezat linux a robit drivre pre svoju logiku a podobne, tak zybo alebo de1-soc / soc kit cycloneV.

viem ze tie ceny su niekde inde, ale cim viac toho na tej fpga doske bude tym bude vsetko lahsie.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 14 Okt 2014, 20:21

ten ALYS som už 2x dražil na eBay, ale vždy sa to vyšplhalo cez 300E :( a keďže to nepotrebujem , a kým sa dostanem na takú úroveň tak to už bude dosť stará doska, tak som v dražení nepokračoval.

Ale ten ALTIUM NANO , čo som spomínal mi ušiel medzi prstami za 109E :confused: to ma fakt nasralo.
0

centesco
Nový člen
Nový člen
Príspevky: 73
Dátum registrácie: 14 Feb 2010, 00:00
Bydlisko: NR
Vek: 39
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa centesco » 14 Okt 2014, 20:24

@mato3000 - c nejak extra nemusim, pisem vsetko len v asm... Cena vyvojovej dosky ma az tak netrapi, investujem predsa do seba :) A nemam v plane nahradzat avr-ko fpga-ckom, to bol len priklad na take prve projekty

@Tribec Zasks - Dik, dnes uz to nejak nestiham ale zajtra si to pozriem podrobnejsie. To od digilentu ale nevyzera az tak zle...
0

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 14 Okt 2014, 20:25

To je potom správny prístup, tak to má byť a držím palce .
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 14 Okt 2014, 20:29

mato3k, ten altium ma celkom podareny dev. environment, aspon vypadal fajn na videu, ale su tam stale celkom male fpga za tu cenu. btw atlys som drazil aj ja nejaky rok a pol dozadu, tiez sa to splhalo a jeden som buy now prepasol bo som vahal, cena bola cca 150 ak sa pamatam :( jedine co ma na nom hneva je ten konektor co tam je na rozsirenie, inak celkom fajn platforma, som na nej robil aj skolsky projekt ked som koncil :)

---
centesko jj digilent je fajn, len ta cena je dost, mna to tiez hnevalo bo som mal za rovnaku cenu vzdy viac muziky s alterou, najskor ma islo rozdrapit, ale uz som zvyknuty aj na quartus aj na modelsim, aj na divne veci ze modelsim neodsimuluje .mif ale len .hex ked sa inicializuje pamat a podobne, chvilu to trvalo, ale clovek je jak kon, zvykne si aj na gilotinu :)

-- dalsi edit--
a som zabudol, skus mrknut aj na digilent anvyl, popripade prebehni placky od terasicu, urcite aj pozri jednotlive user guides pre kazdu dosku , dost sa tam lisi konektivita jednotlivych veci, napr dac mozne ovladat len pomocou hard cpu, alebo len fpga, alebo mix a podobne.
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 15 Okt 2014, 23:22

no tak ako sme sa bavili cez SS, dávam sem svoj projekt, skús kuknúť. Je to robené na moju dosku s 50MHz a upravené tak , aby bol výsledok rovnaký ako máš na videu. Sú tam naviac aj nejaké veci, lebo ako som ti písal, nejedná sa mi o tento konkrétny projekt, ale učím sa a tak to ide pomaly a keďže som zvedavý človek, tak si testujem aj rôzne časti a zoznamujem sa a preto tam sú naviac vyvedené signály, to aby som ich mohol aj pomerať s LA , tá simulácia je fajn, ale ja si často overím veci. Nesnažím sa kopírovať a je fajn že v tom videu je vidno len to čo treba a nad ostatným sa musí uvažovať, to veľmi pomáha v učení a človek ani neuvažuje nad kopírovaním :agree:

Kukni a povedz či som mimo, alebo je to v pohode :D , pomaly napredujem a ako sme sa včera bavili, tak sa mi podarilo moje FPGA donútiť čítať konfig. súbor priamo z PROM, ktorá je tam skovaná a číňan sa o nej zabudol zmieniť v podkladoch :) .

Ak všetko pojde , tak zajtra idem konečne na tú RAM .

ešte jedna vec ma zarazila, keď som kukal kolko zaberá to DIR s projektom po vyčistení, ako si písal, tak to bolo 7,5MB a po zbalení to má len 600kB , tak neviem či to pojde :?
Prílohy
rom.rar
(620.35 KiB) 97 stiahnutí
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 16 Okt 2014, 00:19

:potlesk: :potlesk: :potlesk: :potlesk: :potlesk: :potlesk: :potlesk:

mato3k vazne super na test projekt, a dala sa prebehnut aj ta brrr zelena tam :-) ma to cele hlavu aj patu, pekne to organizujes do procesov, presne ako ma byt, este sa to vycibri, ale za tu chvilu vazne super.

counter_top - origos
tick_counter - super (tusim ten co som tu postol ja :mrgreen: )
lfsr s loadom, super, ak som vycital z rtl wieveru spravne, tak je to rnd generator a nie beziace diody jak som mal ja, cize tick a rnd cislo na vystupe, tiez load na tlacitku :thumbup: (ak sa bude chcet, skus ho spravit tak, ze napr 8 switchov a kazdy z nich bude nastavovat na ktorom lfsr bite bude xor, trochu potrapi :) ale dovoli ine paterny "on-the-fly")
test_modul - pekny buffer ako ma byt
debouncer - wuwuwuwu ;-) skus ho urobit takto:
Obrázok

inak velmi dobry practice resetnut vsetky interne signaly a vystupy, gut gut. btw ked skumas analyzatorom signaly co idu cez test modul, tak potom treba mat na pamati ze to su registre a tak vystup bude o clock neskor, teda ak porovnavas k niecomu.
Nesnažím sa kopírovať a je fajn že v tom videu je vidno len to čo treba a nad ostatným sa musí uvažovať, to veľmi pomáha v učení a človek ani neuvažuje nad kopírovaním :agree:
tak sa teda podarilo, taky bol zamer

btw ak budes skusat ram, tak skus najskor nieco super jednoduche odsimulovat a potom silno odporucam :) skusit ram ktora ma aj control signaly wr_enable, rd_enable, etc, popripade dual port <--- ano, pomocou FSM :)

zatial teda :thumbup: :thumbup: :thumbup: :thumbup:




--- aa som zase zabudol ---

Kód: Vybrať všetko

				if  tik1_in = '1' then
				
					else if switch ='1'	then
					
 
					sw_deb_reg <= sw_deb_reg + 1;
tu bude nieco v debouncri v neporiadku :)
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 16 Okt 2014, 20:25

:) aha, to vzniklo nekompletným vymazaním nejakého mojeho pokusu , kuknem na to ....
0

Používateľov profilový obrázok
Tribec Zacks
Pokročilý člen
Pokročilý člen
Príspevky: 709
Dátum registrácie: 26 Jún 2010, 00:00
Bydlisko: Levice / Cork IRL
Vek: 41
Kontaktovať používateľa:

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa Tribec Zacks » 20 Okt 2014, 00:45

no tak nam tu 7seg driver nikto nehodil, ani len simulaciu c c c, tak tu je slubeny jednoduchy a skaredy, ale fungujuci driver. je tam kopa co vylepsovat aj sa da k tomu pristupit kompletne inak(presne preto som to neriesil v tutoriale, aby sa to urobilo tu), bol to prvy seg driver co som urobil na prve fungujuce stopky, tak to tak vypada :) ale hadam pomoze... co to trepem, uz by nieco podobne nemalo pomoct :)

mato3k, neskusil si s tym shift registrom porobit debouncer? slo, neslo, alebo pooooojde? :rolleyes: popripade ramka isla porobit?
Prílohy
seg_driver.rar
(725 Bajtov) 97 stiahnutí
0
Kreativita a motivacia je to, co prinasa originalne napady a myslienky, disciplina je to, co ich dokaze zrealizovat.

mato3000
Power user
Power user
Príspevky: 9767
Dátum registrácie: 04 Jan 2009, 00:00
Bydlisko: BA

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa mato3000 » 20 Okt 2014, 08:41

no pravdupovediac som sa k tomuto cez víkend nedostal, lebo som dokončoval labák , robil nejaké vzorky na dnes a najme som dostal v piatok trochu nečakane CNC, tak som sa venoval tomu.

Tú chybu som samozrejme odstránil, a teraz budem musieť bojovať s pokušením otvoriť tvoj driver , ale asi skúsim odolať, lebo mám v hlave svoju realizáciu. Len keby bolo viac času. Proste ako som vravel, ja bude napredovať pomaly , ale isto :D . Ak sa mi dnes poobede podarí sfunkčniť CNC, vyzerá že hej, tak budem pokračovať.
0

BOBOR
Zaslúžilý člen
Zaslúžilý člen
Príspevky: 1069
Dátum registrácie: 15 Dec 2006, 00:00
Bydlisko: Snina, Košice

Re: Súťaž o Digilent BASYS1 - Spartan3E100

Príspevok od používateľa BOBOR » 20 Okt 2014, 09:40

Tribec - tiež nič nestíham :oops: snáď cez budúci víkend prerobím ten svoj časovač a dám to sem už verejne
0

Zamknuté